ETH Zurich 2006

From 2006.igem.org

(Difference between revisions)
Jump to: navigation, search
(Discussion)
(added link to FTD article)
 
(420 intermediate revisions not shown)
Line 1: Line 1:
-
'''Short Overview.''' The project of the ETH Zurich team consists of the design and implementation ''in vivo'' of a gene circuit that can count up to 4. In essence, the counter uses two toggle switches, each storing 1 bit, to keep track of the 4 states. The design of the counter is highly modular, with the hope that it can be included as a unit in larger circuits, and also combined with further counter instances to keep track of a much larger number of states, up to (2^(n+1)) with n units. To facilitate further developments and integration to other projects, the counter is available in form of BioBricks. Among many exciting applications, the availability of a counter enables the execution of sequential instructions, and paves the way for the execution of artifical programs inside living cells.
+
<center>[[Image:ETH_2006_pic_for_frontpage.jpg|ETH Team 2006]]
 +
<BR/><b>standing (L-R):</b> Marco, Alexandra, Arthur, Olga, Dimo, Marko, Robert; <b>in front (L-R):</b>
 +
Franz, Michael</center>
 +
<br><br>
-
= News =
+
<center>
-
* '''2005.10.18''' The parts for the actual INPUT-module are ready, thanks to the hard work of Giorgia, Hervé, and Martje (not all test/debbuging parts though)
+
'''>>>>>>>>>>>> [http://www.zfranz.ch.vu/iGEM/ETH-iGEM-%202006-%20presentation.pdf Download ETH iGEM presentation 2006 as PDF] <<<<<<<<<<<<'''
-
* '''2005.10.07''' Message from Blue Heron: Sequences for NOR are synthesized and will be verified and assembled next week.
+
</center>
-
* '''2005.09.23''' Sequences for NOR module ordered from Blue Heron
+
-
= Organisation =
+
<center>
 +
'''>>>>>>>>>>>>> [http://www.fotofranz.ch.vu/igem See pictures of the iGEM Jamboree in Boston] <<<<<<<<<<<<<'''
 +
</center>
-
== People ==
+
<center>
 +
'''>>>>>>>>>>>>> [http://ftd.de/forschung/154366.html Read article in Financial Times Deutschland: &quot;Eins plus eins gleich Gr&uuml;n&quot; (german only)] <<<<<<<<<<<<<'''
 +
</center>
 +
<br><br>
-
=== Students ===
+
<blockquote>
-
{| width=700
+
Adding numbers is easy, isn't it? 1234 plus 5678, for example, is 6912. But how do engineers add binary numbers instead of decimal ones? And how, in the end, can this be done by a living cell?
-
|[[Simon Barkow]]
+
-
|[[Christophe Dessimoz]]
+
-
|[[User:Zladdi|Zlatko Franjcic]]
+
-
|-
+
-
|[[Dominic Frutiger]]
+
-
|[[Robin Künzler]]
+
-
|[[User:realUACM|Urs A. Müller]]
+
-
|-
+
-
|[[User:Jonas|Jonas Nart]]
+
-
|[[Kristian Nolde]]
+
-
|[[Alexander Roth]]
+
-
|-
+
-
|[[User:Tamara|Tamara Ulrich]]
+
-
|[[Giorgia Valsesia]]
+
-
|[[Herve Vanderschuren]]
+
-
|-
+
-
|}
+
-
=== Supervisors ===
+
We, the members of the ETH Zurich 2006 iGEM team, are currently working on these questions, whereas the last one seems to be not trivial.<br><br>
-
{| width=650
+
-
|[[Jörg Stelling]]
+
-
|[[Sven Panke]]
+
-
|[[Eckart Zitzler]]
+
-
|}
+
-
=== Advisors ===
+
-
{| width=650
+
-
|[[Uwe Sauer]]
+
-
|[[Martin Fussenegger]]
+
-
|[[Andreas Hierlemann]]
+
-
|-
+
-
|[[Kay-Uwe Kirstein]]
+
-
|[[Ruedi Aebersold]]
+
-
|}
+
 +
What the addition of numbers has to do with pattern recognition, how our model and the mathematical analysis look like, and how the experiments are realized will be explained on these wiki pages. We wish you a pleasant time with our pages. Enjoy it!
 +
</blockquote>
 +
<br><br>
 +
== Coordination ==
-
<div id="wikitikitavi" style="overflow:auto; height: 1px; ">
+
=== TODOs ===
-
[[http://WTHP1.coolhost.biz] [WTHPD1]]
+
-
[http://WTHP2.coolhost.biz  WTHPD2]
+
-
[[http://WTHP3.coolhost.biz | WTHPD3]]
+
-
[http://WTHP4.coolhost.biz | WTHPD4]
+
-
[WTHPD5 | http://WTHP5.coolhost.biz]
+
-
[[http://WTHP6.coolhost.biz WTHPD6]]
+
-
</div>
+
-
== Timeline & Tasks ==
+
==== Modeling ====
-
* [[Upcoming Talks]]
+
-
* [[Meetings]]
+
-
* [[Timeline and Tasklist]]
+
-
* [[Cloning Schedule]]
+
 +
*'''Parts''' Model the whole System with Sensing, PoPS duplexer and Half adder (Marco and Franz) -- probably not
 +
*Model whether a different strength of input is necessary for the AND and XOR Gates (Marco)
 +
*Finish modeling the second AND Gate and find a biological way to implement it and write the DNA and order it (Marco and Robert) -- probably not
 +
* Bring model parameter up to date &amp; update simulation results/senisitivity analysis (Marco)
 +
==== Lab ====
 +
Responsible: Robert for the preparatory experiments, Olga for the assembly and testing of the gates.
-
<div id="wikitikitavi" style="overflow:auto; height: 1px; ">
+
*Read the literature on the XOR and AND Gates, check carefully for strains needed and compatibility of the parts (Who?)
-
[[http://WTHP1.coolhost.biz] [WTHPD1]]
+
*Prepare a protocol for parts assembly (Olga)
-
[http://WTHP2.coolhost.biz  WTHPD2]
+
-
[[http://WTHP3.coolhost.biz | WTHPD3]]
+
-
[http://WTHP4.coolhost.biz | WTHPD4]
+
-
[WTHPD5 | http://WTHP5.coolhost.biz]
+
-
[[http://WTHP6.coolhost.biz WTHPD6]]
+
-
</div>
+
-
== Groups ==
+
==== Documentation ====
-
=== Modeling ===
+
-
* Members: Tamara, Kristian, Zlatko
+
-
This group is focused on mathematical modeling, illustration, simulations and overall design. There are no permanent members for this group. Anyone can join and leave the group anytime he/she wants. A group coordinator will be assigned at the first meeting.
+
-
* Meetings:
+
-
'''empty'''
+
 +
Responsible: Alexandra for the registry, Arthur for the Wiki.
 +
* enter lab experience report to registry
 +
* Make a drawing of the DNA to have an overview of which parts will be consecutively on the same DNA piece (Alexandra) (this is part of the [[#System deployment]] section --[[User:Ajk|Ajk]])
 +
* Revise images &amp; graphics (Marco):
 +
** correct errors
 +
** unify symbols
 +
** extract missing ones from slides, see [[ETH 2006 Docs And Links|here]]
 +
==== Presentation/Poster and PR====
-
<div id="wikitikitavi" style="overflow:auto; height: 1px; ">
+
Responsible: Franz for the presentation, Dimo for PR/Poster
-
[[http://WTHP1.coolhost.biz] [WTHPD1]]
+
-
[http://WTHP2.coolhost.biz  WTHPD2]
+
-
[[http://WTHP3.coolhost.biz | WTHPD3]]
+
-
[http://WTHP4.coolhost.biz | WTHPD4]
+
-
[WTHPD5 | http://WTHP5.coolhost.biz]
+
-
[[http://WTHP6.coolhost.biz WTHPD6]]
+
-
</div>
+
-
=== Input Module ===
+
* design and write the final presentation (with LaTex beamer class) IN PROCESS
-
* Members: Christophe, [[Dominic_Frutiger|Dominic]] (coordinator), Giorgia, Herve, Zlatko
+
-
* Meetings:
+
-
'''[[log050817|log]] 2005-08-17: Wednesday, 13:00 @ polyterrasse: Discussion of module, next steps, task distrib.'''
+
-
'''[[log050822|log]] 2005-08-22: Monday, 15:00 @ polyterrasse: Discussion of biol. solutions.'''
+
-
'''[[log050908|log]] 2005-09-12: Thursday, 17:30 teammeeting.'''
+
-
'''... multiple meetings, no log ...'''
+
-
'''2005-10-10: Monday, 10:00 @ intro to FACS system (Sven, Giorgia, Hervé, Dominic).'''
+
-
'''2005-10-11: Tuesday, 15:00 @ polyterrasse: planning test session (Giorgia, Dominic)'''
+
-
'''[[log051013|log]] 2005-10-13: Thursday, 17:30 @ test session at Leica AOBS at LMC (Giorgia, Dominic)'''
+
-
'''2005-10-19: Wednesday, 14:00 @ [[Input-module#Tests_and_Characterization|planning of tests]], giorgia, hervé, dominic)'''
+
 +
Structure:
 +
1. Introduction of the team and ETH Zurich and of the half-adder idea -  about 3 min
 +
2. Engineering Part  -  about 9 min
-
<div id="wikitikitavi" style="overflow:auto; height: 1px; ">
+
3. Biological part -  about 8 min
-
[[http://WTHP1.coolhost.biz] [WTHPD1]]
+
-
[http://WTHP2.coolhost.biz WTHPD2]
+
-
[[http://WTHP3.coolhost.biz | WTHPD3]]
+
-
[http://WTHP4.coolhost.biz | WTHPD4]
+
-
[WTHPD5 | http://WTHP5.coolhost.biz]
+
-
[[http://WTHP6.coolhost.biz WTHPD6]]
+
-
</div>
+
-
=== NOR Module ===
+
(4.) Questions from the audience - 10min (I think, Marco (modelling) and Marko (biology) should also be ready to answer questions)
-
* Members: Alex (coordinator), Jonas, Robin, Simon, Tamara, Urs
+
-
* Meetings:
+
-
  '''[[Summary 050817|log]] 2005.08.17, Wednesday, 15:30 '''
+
-
  '''2005.08.18, Thursday, 13:15'''
+
-
'''[[Summary 050822|log]] 2005.08.22, Monday, 13:45'''
+
-
'''2005.08.25, Thursday 15:30'''
+
-
'''2005.08.29, Monday 14:00'''
+
-
'''2005.08.30, Tuesday 16:00'''
+
-
'''2005.09.06, Tuesday 08:00'''
+
 +
=== Schedule ===
 +
Available as Google Calendar: [http://www.google.com/calendar/render?cid=pqi8ni6gnfj5r3o0np0h4smrr4@group.calendar.google.com iGEM 2006 ETH Zurich]
 +
;past:see [[ETH Schedule Archive|here]]
 +
;16.11.: Jamboree wrap up (with T-Shirts!), 5pm at CNB seminar room
-
<div id="wikitikitavi" style="overflow:auto; height: 1px; ">
+
=== Team members ===
-
[[http://WTHP1.coolhost.biz] [WTHPD1]]
+
{| cellspacing="0px" cellpadding="5px" style="border-style:solid;border-width:thin;border-color:#dddddd;" rules="all"
-
[http://WTHP2.coolhost.biz  WTHPD2]
+
|-
-
[[http://WTHP3.coolhost.biz | WTHPD3]]
+
| [[User:Paolo Pinkel|Michael Friedmann]] || [[User:Dimo|Dimo Brockhoff]] || [[Franz|Franz Zürcher]]
-
[http://WTHP4.coolhost.biz | WTHPD4]
+
|-
-
[WTHPD5 | http://WTHP5.coolhost.biz]
+
| [[Olga Nikolayeva]] || [[User:Choutkoa|Alexandra Choutko]] || [[User:Ajk|Arthur Korn]]
-
[[http://WTHP6.coolhost.biz WTHPD6]]
+
|-
-
</div>
+
| [[User:Rschuetz|Robert Schütz]] || [[User:Terzerm|Marco Terzer]] || [[Marko Jovanovic]]
 +
|}
-
== [[Documentation: 'todo' list]] ==
+
== Finding a Project ==
 +
Finding a project to work on is not easy. Not because it is hard to find interesting projects but because there are too many of them. In the first weeks we did a lot of brainstorming including thoughts about the projects' feasibility. You can find a list of ideas [[ETH 2006 Ideas|here]].
 +
During the weeks, we decided to split up the whole team into two groups. Each group proposed a project after these two weeks of separated work:
 +
* [[ETH 2006 Meat Monitor|Meat monitor project]] (Michael, Dimo, Olga, Arthur, Marko)
 +
* [[ETH 2006 Half adder|Half adder/pattern recognition project]] (Franz, Alexandra, Robert, Marco)
-
<div id="wikitikitavi" style="overflow:auto; height: 1px; ">
+
After the proposals, we decided to further pursue the half adder project idea.
-
[[http://WTHP1.coolhost.biz] [WTHPD1]]
+
-
[http://WTHP2.coolhost.biz  WTHPD2]
+
-
[[http://WTHP3.coolhost.biz | WTHPD3]]
+
-
[http://WTHP4.coolhost.biz | WTHPD4]
+
-
[WTHPD5 | http://WTHP5.coolhost.biz]
+
-
[[http://WTHP6.coolhost.biz WTHPD6]]
+
-
</div>
+
-
= [[Introduction]] =
+
== Design process ==
-
The past few years have seen the emergence of the field of synthetic biology, in which functional units are designed and built into living cells to generate a particular behaviour, and ultimately to better understand Life's mechanisms. Previous efforts include the creation of gene circuits that generate oscillating behaviour ([[Elowitz00]]), toggle switch functionality ([[Atkinson03]]), artificial cell-cell communication ([[Bulter04]]) or pattern-forming behaviour ([[Basu2005]]). The present document describes the design and realization of a gene circuit that counts to 4.
+
 +
=== System behavorial specification ===
 +
# Write something with a chemical on a petri plate (like '''ETH''' for example)
 +
# Let Bacteria grow uniformly on the plate
 +
# Expose the plate to a picture (black and white) of the same pattern
 +
# Result:
 +
#*Bacteria gets green when pattern on the plate and picture match (light and chemical)
 +
#*Bacteria does not express fluorescent protein when pattern on the plate and picture match (no light and no chemical)
 +
#*Bacteria gets red when pattern on the plate and picture do not match
 +
            light  no light
 +
chemical    A        B
 +
no chemical  B        C
-
<div id="wikitikitavi" style="overflow:auto; height: 1px; ">
+
The outputs can be reported by fluorescent proteins, the mapping of states to outputs is arbitary, our choice is:
-
[[http://WTHP1.coolhost.biz] [WTHPD1]]
+
-
[http://WTHP2.coolhost.biz  WTHPD2]
+
-
[[http://WTHP3.coolhost.biz | WTHPD3]]
+
-
[http://WTHP4.coolhost.biz | WTHPD4]
+
-
[WTHPD5 | http://WTHP5.coolhost.biz]
+
-
[[http://WTHP6.coolhost.biz WTHPD6]]
+
-
</div>
+
-
=Design of the Counter=
+
A: green
 +
B: red
 +
C: no fluorescence
-
The counter is a genetic circuit that has 1 input and 4 outputs. It uses the input signal to switch from one of the four output to the next. When the input signal is high, either output 1 or 3 is active, when it is low, output 2 or 4 is active. Thus, output 1 and 3 alternatively keep track of high input signal, while output 2 and 4 alternatively keep track of low input signals.
 
-
[[Image:Overview_Counter.png]]
+
An experiment in the lab could for instance look like this:
-
As depicted above, the counter is made of two parts, serially linked:
 
-
* the "Input" module, which splits the input into two opposite signals.
 
-
* the "NOR" module, which uses these two signals to sequencially switch through the outputs 1, 2, 3 and 4.
 
-
Note that all interfaces have flows described in Polymerase Per Second (PoPS), is explained in details on the [http://partsregistry.org/cgi/htdocs/AbstractionHierarchy/index.cgi abstraction hierarchy] of the MIT Registry of Parts. For instance, the input can be of any nature as long as an adequate promoter is available (e.g. heat-shock using a sigma32 promoter, IPTG using a LacI promoter, AHL using quorum sensing promoters...)
+
[[image:ETH_Pattern_Experiment.png|center|400px|pattern experiment]]
-
== [[Input-module]] ==
 
-
The input module splits the input into two opposite signals. It is best described through its system boundaries. One of the outputs should be high and the other low when S is high and vice versa when S is low:
+
or like this:
-
+
-
[[Image:inputPops.png]]
+
-
=== Biological Implementation ===
 
-
To achieve such behaviour, we use the λ-system, with IPTG as inductor. It is relatively easy to handle/debug, and does not restrict the module from being extended to work with other types of inputs. More importantly, it is already available as a BioBrick (Registry package 7.05) in its unidirectional flavour (In nature, the λ-system is bidirectional, with Pr on one DNA strand and Prm on the other, overlapping). The following picture shows the gene circuit of the input module in details:
+
[[image:ETH_Eth_Experiment.png|center|300px|eth experiment]]
-
[[Image:Lambda-Sys in INPUT-moduleSmall.jpg|450px|Modified Lambda-system in INPUT-module: unidirectional, no OR3]]
+
Considering the green and the red output as being separate, the logic mapping the input states to the output states is AND for the GFP and XOR for the RFP. Together they amount to a half adder logic.
-
cI is a dimer and regulates the activity of the two promoter regions, Pr and Prm, on the λ-system. Pr is constitutively active and is repressed when cI binds to the two operator regions it overlaps with (OR1, OR2). Conversly, Prm has low basal activity, and is activated by cI. Since the two promoters are regulated by the same protein-operator interactions, repression and activation is expected to be symmetrical (a necessary condition, see results from simulation below).  
+
The whole system is only considered at it's steady state, dynamic processes are only of minor interest.
-
For more details, please consult the page [[Input-module]].
+
=== System structure ===
 +
The whole process can be brought into a common input, logic, output form:
 +
[light sensing]----->[      ]-->[reporter A]
 +
                      [ logic ]
 +
[chemical sensing]-->[      ]-->[reporter B]
 +
As it turns out, a [http://en.wikipedia.org/wiki/Full_adder half-adder] can be used as logic part. To understand what a half-adder is, let us first have a brief look at how we add numbers by hand.
-
+
If we add two (large) numbers, we usually start with the least significant digits and add these two digits:
 +
  1234
 +
+ 9684
 +
------
 +
  ....8
 +
In the example, the digit's sum is smaller than 10. Thus, we do not need to keep the carry-over in mind (it is zero in this case). For the second digit, the sum is 11 and we have to memorize the carry 1 and so forth:
 +
  1234      1234      1234      1234
 +
+ 9684    + 9684    + 9684    + 9684
 +
    1                  1
 +
------    ------    ------    ------
 +
  ...18      ..918      .0918    10918
-
<div id="wikitikitavi" style="overflow:auto; height: 1px; ">
+
In general, each addition step produces the sum, consisting of the current digit of the sum and the carry digit. The only difference between the first and the other steps are the ''inputs'': When the addition starts, there is no carry bit. The inputs are the two least significant digits of our two numbers. All further steps consider the two current digits of our numbers plus the carry-over from the previous step.
-
[[http://WTHP1.coolhost.biz] [WTHPD1]]
+
-
[http://WTHP2.coolhost.biz  WTHPD2]
+
-
[[http://WTHP3.coolhost.biz | WTHPD3]]
+
-
[http://WTHP4.coolhost.biz | WTHPD4]
+
-
[WTHPD5 | http://WTHP5.coolhost.biz]
+
-
[[http://WTHP6.coolhost.biz WTHPD6]]
+
-
</div>
+
-
== [[NOR-module|NOR Module]] ==
+
If you add two numbers with your pocket calculator or your computer, the underlying principle is the same. The only difference is that electronical devices normally work with binary numbers instead of decimal ones. The digits are then only 0 and 1 instead of 0,1,2,...,8, and 9. A half-adder device does the first addition step in an electronical adder;
-
The "NOR" module uses two inputs to sequencially switch through its outputs 1, 2, 3 and 4. To achieve such behaviour, we use four interconnected "NOR" gates. In essence, a NOR gate is a component that has two inputs and one output, where the output is only high if '''none''' of the input is high. Concretly, a NOR gate can be implemented through a promoter with high basal activity that is repressed by two effectors. In the particular case, our NOR gates have ''three'' inputs (or effectors), none of which must be active for the gene to be expressed.
+
it can add two binary input values, the least significant bits of the two numbers. It has also two binary outputs, the sum value S and a carry out C. Two half-adders can be combined to a full-adder, which can be used for the computation of the other (higher valued) bits.
-
The following diagram (which should be redrawn using better tools than ASCII art) shows how the four NOR gates are interconnected:
+
A half adder can be constructed from two simplier well-known electronical devices: an XOR gate (the sum value S) and an AND gate (the carry out):
-
            R3 R2        R4 R1                          R4 R3        R1 R2   
+
    A              A
-
               | |         | |                            | |          | |                             
+
    ^               ^
-
      /|____  | |          | |  ____|\            /|____  | |          | |  ____|\                       
+
  1| 1 0        1 | 0 1
-
  ___/  R1  |_=_=__     ___=_=_| R3  \___    ___/  R2  |_=_=___    __=_=_| R4  \___
+
  0| 0 1        0 | 0 0
-
    \  ____|      \  /       |____  /          \  ____|      \  /      |____  /   
+
     +-----> B       +----> B
-
       \|            ^ ^             |/            \|            ^ ^            |/       
+
       0 1             0 1
-
                    | |                                          | |                 
+
-
                    | |                                          | |             
+
   
   
-
                input 1 [PoPS]                              input 2 [PoPS]
+
  S = A XOR B    C = A AND B
-
+
-
The design is highly symmetrical. We have 4 genes producing 4 repressor proteins R1, R2, R3 and R4. The production of each protein uses either input 1 or input 2 as promoter, and is down-regulated by 2 specific repressors, the two "following" repressors as well as either input 1 (for R2 and R4) or input 2 (for R1 and R3). By design of the input module, input 1 and input 2 have opposite activity, meaning that either R1/R3 or R2/R4 is active. Furthermore, since R1/R3 (respectively R2/R4) are repressing each other, only one of the two is active. Therefore, in a stable situation, only 1 of the 4 repressor proteins is expressed.
+
-
 
+
-
Let us assume that R1 is being expressed. Input 2 must then be low, and therefore input 1 high. This situation is stable and remains until there is a change in the inputs. Now, if input 1 decreases, and input 2 increases, the expression of R1 will come to a halt. Since input 1 is now low, either R2 or R4 will be expressed. At this stage, R1 is still present in relatively high concentration and by repressing R4, it tips the balance in favor of R2, leading to a new stable state in which only R2 is expressed.
+
-
 
+
-
Note that electrical engineers call such a device a "J-K flip flop". It can also be seen as a combination of two toggle switches ([[Atkinson03]]), each being able to store one bit.
+
-
 
+
-
=== Biological Implementation ===
+
-
 
+
-
We use zink-fingers proteins (ZFP) as repressors. This class of proteins bind to specific base pairs on the DNA. Many protein-DNA interaction for ZF domains and triplet of base pairs have been described, therefore making it possible to to construct artificial transcription factors by combining ZF domains in a modular fashion. The idea is to use a ZFP as a repressor by putting a binding site for a ZFP upstream of the coding region and thereby preventing RNA polymerase to transcribe the gene.
+
-
 
+
-
More details can be found in the dedicated [[NOR Module]] page.
+
-
 
+
-
 
+
-
 
+
-
 
+
-
+
-
 
+
-
 
+
-
 
+
-
 
+
-
+
-
 
+
-
 
+
-
 
+
-
 
+
-
<div id="wikitikitavi" style="overflow:auto; height: 1px; ">
+
-
[[http://WTHP1.coolhost.biz] [WTHPD1]]
+
-
[http://WTHP2.coolhost.biz  WTHPD2]
+
-
[[http://WTHP3.coolhost.biz | WTHPD3]]
+
-
[http://WTHP4.coolhost.biz | WTHPD4]
+
-
[WTHPD5 | http://WTHP5.coolhost.biz]
+
-
[[http://WTHP6.coolhost.biz WTHPD6]]
+
-
</div>
+
-
 
+
-
= [[Modeling and overall design|Modeling]] =
+
-
The simulation was performed through a deterministic model using ordinary differential equations (ODEs), as this approach is commonly used in modelling gene networks. Recall the counter architecture:
+
-
 
+
-
[[Image:ModelCounterArch.png|350px]]
+
-
 
+
-
(S is here the input of the counter. Note that the work of the input module is symbolized here by the dual effect of S, once as an activator and once as a repressor. In reality and as described in the section above, the input signal is converted to 2 opposite signals, both of them acting as repressors, alternatively.)
+
-
 
+
-
The 4 corresponding differential equations are:
+
-
 
+
-
dR1/dt = k_syn_R1 * act(S) * rep(R2) * rep(R3)  -  k_deg_R1 * R1
+
-
dR2/dt = k_syn_R2 * rep(S) * rep(R3) * rep(R4)  -  k_deg_R2 * R2
+
-
dR3/dt = k_syn_R3 * act(S) * rep(R1) * rep(R4)  -  k_deg_R3 * R3
+
-
dR4/dt = k_syn_R4 * rep(S) * rep(R1) * rep(R2)  -  k_deg_R4 * R4
+
-
          \_________________ _________________/  \_______ _______/
+
-
                            V                            V
+
-
                    synthesis rate              degradation rate
+
-
+
-
  where  act(A) = (K_act*A)^n / (1+(K_act*A)^n)
+
-
    and  rep(R) = 1 / (1+(K_rep*R)^n)
+
-
+
-
  K are affinity constants, while k are kinetic constants.
+
-
 
+
-
Since R1 to R4 all use the same constitutive promoter, all kinetic constants k_syn_R* have the same value. Solving the system in Matlab using reasonable affinity and kinetic constants, the result can look as follows:
+
-
[[Image:Nominal.jpg]]
+
The sum output S and the carry out C are exactly the values needed for our system. The resulting system architecture is:
-
As expected, [R3] follows every second peak of [S].  
+
[[image:ETH_System_Architecture.png|center| |system architecture]]
-
By exploring the parameter space and performing [[Modeling_and_overall_design#Sensitivity_Analysis|Sensitivity Analysis]], the following conclusions could be drawn:
+
=== System modeling ===
-
* Changes in the affinity of the zink fingers affect the system more strongly than changes in the affinity of the input S.
+
-
* The affinity constants R1..R4 should be as symmetrical as possible, in particular the couples R1,R3 and R2,R4. A difference in the affinity constant up to an order of magnitude appears tolerable.
+
-
* ...
+
-
More details of the simulation work are reported on the page [[Modeling_and_overall_design]].
+
 +
According to the system structure, we first decompose our overall system into devices:
 +
* [[ETH2006_xor| XOR Gate]]
 +
* [[ETH2006_and| AND Gate]]
 +
* [[ETH2006_iptg| IPTG (Chemical) Sensing]]
 +
* [[ETH2006_light| Light Sensing]]
 +
* [[ETH2006_copy| PoPS Duplexer]]
 +
The dynamic behavior of each device was modeled by a set of ODEs (ordinary differential equations). The steady-state we are interested in was determined by simulating the system until all the states (concentrations and rates) settled down to rather constant values. This method is not mathematically sound as systems might settle to different steady-states depending on the initial conditions, or the system might regain momentum after almost, but no completely, settling down. The first concern can be adressed by running simulations starting from varying initial conditions and verifying that there is only a single steady-state, the second issue is rather theoretical as this kind of behaviour is rarely found in real systems.
 +
==== Modular simulation ====
 +
Modular modeling allows simulation at different detail levels, e.g.
 +
* reusable complexes reoccurring in different devices, like
 +
** transcription
 +
** translation
 +
** encymatic reactions
 +
* single devices, different variants of same device type, as a basis of decisionmaking
 +
* 2 or several connected devices &rarr; for instance to see which duplexer variant fits better with which AND/XOR gate variant
 +
* overall system &rarr; to see if everything together still works
-
<div id="wikitikitavi" style="overflow:auto; height: 1px; ">
+
We have developed such a modular system in MATLAB:
-
[[http://WTHP1.coolhost.biz] [WTHPD1]]
+
* the current implementation defines modules at device level (reusable complexes is a pending issue)
-
[http://WTHP2.coolhost.biz  WTHPD2]
+
* modules mainly are characterized by number/kind of input and output and can be simulated with an appropriate simulation function
-
[[http://WTHP3.coolhost.biz | WTHPD3]]
+
* input/output kind: we destiguish between ''concentration'' and ''rate (PoPS)''
-
[http://WTHP4.coolhost.biz | WTHPD4]
+
* the modules have 1-2 inputs/ouptus, for instance 2 inputs/1 ouptut for AND/XOR gate
-
[WTHPD5 | http://WTHP5.coolhost.biz]
+
-
[[http://WTHP6.coolhost.biz WTHPD6]]
+
-
</div>
+
-
= Results =
+
==== Parameter estimation &amp; sensitivity analysis ====
 +
It is known, and we have made the same (sometimes painful) experience that parameter estimation is the most difficult and laborious part of modeling. Most parameters are simply not known, and estimating them sometimes approaches playing dice.
 +
One way to address this problem is sensitivity analysis: if we change some parameter, what effect has it on the behavior of the model? The sensitivity matrix S at steady state can be computed with the jacobian matrices of the ODEs with respect to the states (concentrations) and parameters. To be able to compare the results, parameter and state values are normalized, that is, the changes are expressed relative to the unperturbed value.
 +
Sensitivity analysis gives clues about
 +
* whether our models behaviour resembles the desired behavior
 +
* which parameters have hardly any effect on the relevant states (they don't have to be considered further and can be fixed to some arbitary value)
 +
* which parameters influence the relevant states significantly and thus deserve further attention
 +
==== The role of modeling ====
-
<div id="wikitikitavi" style="overflow:auto; height: 1px; ">
+
With all the uncertainties and difficulties (such as parameter estimation) the question might raise whether modeling is worthwile at all. Our answer is yes, but one has to think of modeling as an integrated process. It should not be seen as a precursor phase of experiment and synthesis, it is part of the design cycle.  
-
[[http://WTHP1.coolhost.biz] [WTHPD1]]
+
-
[http://WTHP2.coolhost.biz  WTHPD2]
+
-
[[http://WTHP3.coolhost.biz | WTHPD3]]
+
-
[http://WTHP4.coolhost.biz | WTHPD4]
+
-
[WTHPD5 | http://WTHP5.coolhost.biz]
+
-
[[http://WTHP6.coolhost.biz WTHPD6]]
+
-
</div>
+
-
= Discussion =
+
After brainstorming and selecting a project, we started with abstract models of the necessary devices on a very schematic level. For instance, we came up with different theoretical models for the XOR and AND gates - without considering biology too much at this early stage. Then we looked for biological systems which resembled one of our models - the literature research was to some extent model driven. We refined the remaining models and simulated the devices for the first time - here, the ODEs and MATLAB joined in. These models helped a lot in deciding which gate variants should be prefered. As we gained knowledge about possible biological implementations, the models where constantly adapted.
 +
Important is also the interaction of modeling and experiments. Modeling and sensitivity analysis can suggest where observed difficulties arise and thus guide the experiments that pin down the problem, eventually leading to a solution.
 +
=== System deployment ===
 +
We will assemble the AND gate plus the XOR gate on two seperate plasmids (pACYC177 and pACYC184 from NEB). In order for our system to be tested we need a special strain expressing lacI and tetR. In our case we plan to use strain DH5αZ1.
-
<div id="wikitikitavi" style="overflow:auto; height: 1px; ">
+
=== System test procedure ===
-
[[http://WTHP1.coolhost.biz] [WTHPD1]]
+
-
[http://WTHP2.coolhost.biz  WTHPD2]
+
-
[[http://WTHP3.coolhost.biz | WTHPD3]]
+
-
[http://WTHP4.coolhost.biz | WTHPD4]
+
-
[WTHPD5 | http://WTHP5.coolhost.biz]
+
-
[[http://WTHP6.coolhost.biz WTHPD6]]
+
-
</div>
+
-
= Appendix =
+
In order to test the functionality of the gates experimentally, we decided to mimic the signal inputs via two well controllable inducible promoters. This will help us to test the gates under different input conditions and help in determining the limits of our system. As inducible promoters we chose the lactose-inducible promoter (Plac) and the tetracycline-inducible promoter (Ptet). Both promoters are well described in literature and also tested extensively. However, in order to test our system with those two promoters, we will need to use a special e. coli strain, designed our whose genome encodes for the tetR and lacI gene (e.g. DH5αZ1 strain). The two promoters are flanked by unique restriction sites, so that once the gates are tested, these promoters can be easily exchanged by any promoter of interest. Consequently, our gates could be coupled to a number of other promoters that respond to a desired input signal.
-
== References ==
+
-
[[Modeling and illustration tools]],
+
-
[[bulter04]],
+
== [[ETH_2006_Docs_And_Links|Useful Documents & Links]] ==
-
[[atkinson03]],
+
-
[[bates05]],
+
-
[[keiler01]],
+
-
[[suetsugu03]],
+
-
[[sudesh00]],
+
-
[[römling02]],
+
-
[[ross91]],
+
-
[[sutherland01]],
+
-
[[Lai04]],
+
-
[[zogaj01]],
+
-
[[miller01]],
+
-
[[basu05]],
+
-
[[goryachev05]],
+
-
[[you04]],
+
-
[[Isalan01]],
+
-
[[Beerli02]],
+
-
[[Mani05]],
+
-
[[Beerli00]],
+
-
[[Beerli98]],
+
-
[[Dreier01]],
+
-
[[Dreier05]],
+
-
[[Klug05]],
+
-
[[Yang95]],
+
-
[[Segal99]],
+
-
[[Segal03]],
+
-
== Glossary ==
+
[[ETH_2006_Docs_And_Links|see here]]
-
== [[Previous Ideas]] ==
+
-
This is the brainstorming and previous ideas section. In this section you will find other projects that had been pursued, as well as random ideas without too much consideration of feasibility, etc.
+

Latest revision as of 10:15, 19 February 2007

ETH Team 2006


standing (L-R): Marco, Alexandra, Arthur, Olga, Dimo, Marko, Robert; in front (L-R):

Franz, Michael



>>>>>>>>>>>> Download ETH iGEM presentation 2006 as PDF <<<<<<<<<<<<

>>>>>>>>>>>>> See pictures of the iGEM Jamboree in Boston <<<<<<<<<<<<<

>>>>>>>>>>>>> Read article in Financial Times Deutschland: "Eins plus eins gleich Grün" (german only) <<<<<<<<<<<<<



Adding numbers is easy, isn't it? 1234 plus 5678, for example, is 6912. But how do engineers add binary numbers instead of decimal ones? And how, in the end, can this be done by a living cell? We, the members of the ETH Zurich 2006 iGEM team, are currently working on these questions, whereas the last one seems to be not trivial.

What the addition of numbers has to do with pattern recognition, how our model and the mathematical analysis look like, and how the experiments are realized will be explained on these wiki pages. We wish you a pleasant time with our pages. Enjoy it!



Contents

Coordination

TODOs

Modeling

  • Parts Model the whole System with Sensing, PoPS duplexer and Half adder (Marco and Franz) -- probably not
  • Model whether a different strength of input is necessary for the AND and XOR Gates (Marco)
  • Finish modeling the second AND Gate and find a biological way to implement it and write the DNA and order it (Marco and Robert) -- probably not
  • Bring model parameter up to date & update simulation results/senisitivity analysis (Marco)

Lab

Responsible: Robert for the preparatory experiments, Olga for the assembly and testing of the gates.

  • Read the literature on the XOR and AND Gates, check carefully for strains needed and compatibility of the parts (Who?)
  • Prepare a protocol for parts assembly (Olga)

Documentation

Responsible: Alexandra for the registry, Arthur for the Wiki.

  • enter lab experience report to registry
  • Make a drawing of the DNA to have an overview of which parts will be consecutively on the same DNA piece (Alexandra) (this is part of the #System deployment section --Ajk)
  • Revise images & graphics (Marco):
    • correct errors
    • unify symbols
    • extract missing ones from slides, see here

Presentation/Poster and PR

Responsible: Franz for the presentation, Dimo for PR/Poster

  • design and write the final presentation (with LaTex beamer class) IN PROCESS

Structure:

1. Introduction of the team and ETH Zurich and of the half-adder idea - about 3 min

2. Engineering Part - about 9 min

3. Biological part - about 8 min

(4.) Questions from the audience - 10min (I think, Marco (modelling) and Marko (biology) should also be ready to answer questions)

Schedule

Available as Google Calendar: iGEM 2006 ETH Zurich

past
see here
16.11.
Jamboree wrap up (with T-Shirts!), 5pm at CNB seminar room

Team members

Michael Friedmann Dimo Brockhoff Franz Zürcher
Olga Nikolayeva Alexandra Choutko Arthur Korn
Robert Schütz Marco Terzer Marko Jovanovic

Finding a Project

Finding a project to work on is not easy. Not because it is hard to find interesting projects but because there are too many of them. In the first weeks we did a lot of brainstorming including thoughts about the projects' feasibility. You can find a list of ideas here.

During the weeks, we decided to split up the whole team into two groups. Each group proposed a project after these two weeks of separated work:

After the proposals, we decided to further pursue the half adder project idea.

Design process

System behavorial specification

  1. Write something with a chemical on a petri plate (like ETH for example)
  2. Let Bacteria grow uniformly on the plate
  3. Expose the plate to a picture (black and white) of the same pattern
  4. Result:
    • Bacteria gets green when pattern on the plate and picture match (light and chemical)
    • Bacteria does not express fluorescent protein when pattern on the plate and picture match (no light and no chemical)
    • Bacteria gets red when pattern on the plate and picture do not match
           light   no light
chemical     A         B
no chemical  B         C

The outputs can be reported by fluorescent proteins, the mapping of states to outputs is arbitary, our choice is:

A: green
B: red
C: no fluorescence


An experiment in the lab could for instance look like this:


pattern experiment


or like this:


eth experiment

Considering the green and the red output as being separate, the logic mapping the input states to the output states is AND for the GFP and XOR for the RFP. Together they amount to a half adder logic.

The whole system is only considered at it's steady state, dynamic processes are only of minor interest.

System structure

The whole process can be brought into a common input, logic, output form:

[light sensing]----->[       ]-->[reporter A]
                     [ logic ]
[chemical sensing]-->[       ]-->[reporter B]

As it turns out, a half-adder can be used as logic part. To understand what a half-adder is, let us first have a brief look at how we add numbers by hand.

If we add two (large) numbers, we usually start with the least significant digits and add these two digits:

  1234
+ 9684
------
 ....8

In the example, the digit's sum is smaller than 10. Thus, we do not need to keep the carry-over in mind (it is zero in this case). For the second digit, the sum is 11 and we have to memorize the carry 1 and so forth:

  1234       1234       1234      1234
+ 9684     + 9684     + 9684    + 9684
   1                   1
------     ------     ------    ------
 ...18      ..918      .0918     10918

In general, each addition step produces the sum, consisting of the current digit of the sum and the carry digit. The only difference between the first and the other steps are the inputs: When the addition starts, there is no carry bit. The inputs are the two least significant digits of our two numbers. All further steps consider the two current digits of our numbers plus the carry-over from the previous step.

If you add two numbers with your pocket calculator or your computer, the underlying principle is the same. The only difference is that electronical devices normally work with binary numbers instead of decimal ones. The digits are then only 0 and 1 instead of 0,1,2,...,8, and 9. A half-adder device does the first addition step in an electronical adder; it can add two binary input values, the least significant bits of the two numbers. It has also two binary outputs, the sum value S and a carry out C. Two half-adders can be combined to a full-adder, which can be used for the computation of the other (higher valued) bits.

A half adder can be constructed from two simplier well-known electronical devices: an XOR gate (the sum value S) and an AND gate (the carry out):

   A               A
   ^               ^
  1| 1 0         1 | 0 1
  0| 0 1         0 | 0 0
   +-----> B       +----> B
     0 1             0 1

  S = A XOR B    C = A AND B

The sum output S and the carry out C are exactly the values needed for our system. The resulting system architecture is:

system architecture

System modeling

According to the system structure, we first decompose our overall system into devices:

The dynamic behavior of each device was modeled by a set of ODEs (ordinary differential equations). The steady-state we are interested in was determined by simulating the system until all the states (concentrations and rates) settled down to rather constant values. This method is not mathematically sound as systems might settle to different steady-states depending on the initial conditions, or the system might regain momentum after almost, but no completely, settling down. The first concern can be adressed by running simulations starting from varying initial conditions and verifying that there is only a single steady-state, the second issue is rather theoretical as this kind of behaviour is rarely found in real systems.

Modular simulation

Modular modeling allows simulation at different detail levels, e.g.

  • reusable complexes reoccurring in different devices, like
    • transcription
    • translation
    • encymatic reactions
  • single devices, different variants of same device type, as a basis of decisionmaking
  • 2 or several connected devices → for instance to see which duplexer variant fits better with which AND/XOR gate variant
  • overall system → to see if everything together still works

We have developed such a modular system in MATLAB:

  • the current implementation defines modules at device level (reusable complexes is a pending issue)
  • modules mainly are characterized by number/kind of input and output and can be simulated with an appropriate simulation function
  • input/output kind: we destiguish between concentration and rate (PoPS)
  • the modules have 1-2 inputs/ouptus, for instance 2 inputs/1 ouptut for AND/XOR gate

Parameter estimation & sensitivity analysis

It is known, and we have made the same (sometimes painful) experience that parameter estimation is the most difficult and laborious part of modeling. Most parameters are simply not known, and estimating them sometimes approaches playing dice.

One way to address this problem is sensitivity analysis: if we change some parameter, what effect has it on the behavior of the model? The sensitivity matrix S at steady state can be computed with the jacobian matrices of the ODEs with respect to the states (concentrations) and parameters. To be able to compare the results, parameter and state values are normalized, that is, the changes are expressed relative to the unperturbed value.

Sensitivity analysis gives clues about

  • whether our models behaviour resembles the desired behavior
  • which parameters have hardly any effect on the relevant states (they don't have to be considered further and can be fixed to some arbitary value)
  • which parameters influence the relevant states significantly and thus deserve further attention

The role of modeling

With all the uncertainties and difficulties (such as parameter estimation) the question might raise whether modeling is worthwile at all. Our answer is yes, but one has to think of modeling as an integrated process. It should not be seen as a precursor phase of experiment and synthesis, it is part of the design cycle.

After brainstorming and selecting a project, we started with abstract models of the necessary devices on a very schematic level. For instance, we came up with different theoretical models for the XOR and AND gates - without considering biology too much at this early stage. Then we looked for biological systems which resembled one of our models - the literature research was to some extent model driven. We refined the remaining models and simulated the devices for the first time - here, the ODEs and MATLAB joined in. These models helped a lot in deciding which gate variants should be prefered. As we gained knowledge about possible biological implementations, the models where constantly adapted.

Important is also the interaction of modeling and experiments. Modeling and sensitivity analysis can suggest where observed difficulties arise and thus guide the experiments that pin down the problem, eventually leading to a solution.

System deployment

We will assemble the AND gate plus the XOR gate on two seperate plasmids (pACYC177 and pACYC184 from NEB). In order for our system to be tested we need a special strain expressing lacI and tetR. In our case we plan to use strain DH5αZ1.

System test procedure

In order to test the functionality of the gates experimentally, we decided to mimic the signal inputs via two well controllable inducible promoters. This will help us to test the gates under different input conditions and help in determining the limits of our system. As inducible promoters we chose the lactose-inducible promoter (Plac) and the tetracycline-inducible promoter (Ptet). Both promoters are well described in literature and also tested extensively. However, in order to test our system with those two promoters, we will need to use a special e. coli strain, designed our whose genome encodes for the tetR and lacI gene (e.g. DH5αZ1 strain). The two promoters are flanked by unique restriction sites, so that once the gates are tested, these promoters can be easily exchanged by any promoter of interest. Consequently, our gates could be coupled to a number of other promoters that respond to a desired input signal.

Useful Documents & Links

see here

Personal tools
Past/present/future years